Welcome![Sign In][Sign Up]
Location:
Search - verilog ps2

Search list

[VHDL-FPGA-Verilogkeyboard_ps2_verilog

Description: 键盘鼠标的原代码,用FPGA实现,使用Verilog HDL编写,已经使用FPGA验正过了,完全可以用-keyboard and mouse of the original code, using FPGA, using Verilog HDL preparation, already in use FPGA-mortem is over, it can be used
Platform: | Size: 1480704 | Author: wpb3dm | Hits:

[VHDL-FPGA-Verilogps2_and_VGA_and_verilog

Description: ps/2键盘输入的字符在VGA上进行显示. 本示例是将ps/2键盘输入的字符在VGA上进行显示。在做此实验之前最好先学习PS2键盘接口。-ps/2 keyboard input of the characters in VGA on the show. This example is to ps/2 keyboard input characters VGA website on the show. Do this before the experiment started learning the best PS2 keyboard interface.
Platform: | Size: 51200 | Author: dragon | Hits:

[VHDL-FPGA-VerilogPS2keyboard_verilog

Description: 本实验利用PS2接口实现了与键盘通信,并将键盘的按键编码通过UART接口上传给PC的超级终端,通过超级终端来观察按键编码是否正确。 -experimental use of the PS2 interface with the keyboard communications, and keyboard buttons coding through UART interface to the PC upload the Super Terminal, Super Terminal through to observe the keys coding is correct.
Platform: | Size: 17408 | Author: dragon | Hits:

[VHDL-FPGA-Verilog1_070116141639

Description: verilog编程ps2接口设计,基于fpga的设计-verilog ps2 Programming Interface design, the design based fpga
Platform: | Size: 3072 | Author: ykf | Hits:

[VHDL-FPGA-Verilogsc

Description: 用verilog编写的乒乓球游戏,内带ps2,VGA驱动,下载到spantan3开发板上即可使用(原创)-Prepared using Verilog table tennis game, with band ps2, VGA driver, download to spantan3 development board to use (original)
Platform: | Size: 460800 | Author: frank | Hits:

[VHDL-FPGA-Verilogps2_verilog

Description: ps2_键盘控制器源码verilog源码,是一个不错的代码-ps2_ keyboard controller Verilog source code, is a good code
Platform: | Size: 13312 | Author: 高鹏 | Hits:

[VHDL-FPGA-VerilogSourceFile

Description: PS2鼠标实验Verilog HDL代码-PS2 mouse experiments Verilog HDL code
Platform: | Size: 4096 | Author: 张猛蛟 | Hits:

[VHDL-FPGA-VerilogSourceFile

Description: PS2键盘实验Verilog HDL代码-PS2 keyboard experiment Verilog HDL code
Platform: | Size: 3072 | Author: 张猛蛟 | Hits:

[VHDL-FPGA-Verilogps2_keyboard

Description: ps2 keyboard verilog源代码,支持ascii码.扫描码输出,扩展键输出,按下及释放信息输出-ps2 keyboard verilog source code, to support the ascii code. scan code output, the expansion of key output, press and release the information output
Platform: | Size: 5120 | Author: 李志刚 | Hits:

[SCMSource

Description: PS2键盘实验源代码,Verilog语言编写-PS2 keyboard experiment source code, Verilog language
Platform: | Size: 3072 | Author: zhan | Hits:

[SCMSource

Description: PS2鼠标实验源代码,Verilog语言编写-PS2 mouse experiment source code, Verilog language
Platform: | Size: 4096 | Author: zhan | Hits:

[VHDL-FPGA-Verilogps2

Description: ps2接口源程序。标准的键盘和鼠标接口,在Xilinx SpartanII XC2S200 实验板上通过验证-ps2 interface source. Standard keyboard and mouse interface, in the experiments on-board Xilinx SpartanII XC2S200 validated
Platform: | Size: 23552 | Author: Alex | Hits:

[VHDL-FPGA-VerilogPS2

Description: 基于EPM1270的PS2键盘鼠标驱动源码Verilog-Based on the EPM1270 the PS2 keyboard and mouse-driven Verilog source
Platform: | Size: 479232 | Author: cx | Hits:

[SCMps2

Description: 基于Verilog开发的PS2的接口设计,可以模拟PS2的鼠标和键盘的接口!-Verilog-based development of the PS2 interface design, can simulate the PS2 mouse and keyboard interface!
Platform: | Size: 10240 | Author: sq | Hits:

[Embeded-SCM DevelopPs2-ALL

Description: PS2键盘鼠标接口控制实现电子琴功能,verilog hdl 编写-PS2 keyboard and mouse interface to control the realization of organ function, verilog hdl prepared
Platform: | Size: 1058816 | Author: rutingfeng | Hits:

[VHDL-FPGA-Verilogverilog-PS2

Description: 在FPGA内,实现PS2键盘数据读取功能,verilog源代码-In the FPGA, achieving PS2 keyboard data read functions, verilog source code
Platform: | Size: 2048 | Author: niuqs | Hits:

[Embeded-SCM Developps2

Description: PS2键盘通信,VERILOG实现的,比较好的哦-PS2 keyboard communications, VERILOG realized, oh good
Platform: | Size: 601088 | Author: 洪依 | Hits:

[VHDL-FPGA-Verilogverilog-PS2-Keyboard

Description: veirlog编写的PS2键盘通讯程序, 并有PS2接口的相关说明, Quartus II 8.1工程文件-veirlog written communication procedures PS2 keyboard, and a PS2 interface instructions, Quartus II 8.1 project file
Platform: | Size: 512000 | Author: Joseph | Hits:

[VHDL-FPGA-Verilogps2

Description: PS2 keyboard with verilog
Platform: | Size: 293888 | Author: farshad | Hits:

[Embeded-SCM DevelopPS2鼠标VGA画笔

Description: 鼠标连接到FPGA开发板PS2, 通过VGA显示鼠标移到。(The mouse is connected to the FPGA development board PS2, and the mouse is moved through the VGA.)
Platform: | Size: 17263616 | Author: 你在猜猜看 | Hits:
« 12 3 4 5 6 7 8 »

CodeBus www.codebus.net